Welcome![Sign In][Sign Up]
Location:
Search - moore state machine counter

Search list

[VHDL-FPGA-VerilogMOORE

Description:
Platform: | Size: 190464 | Author: wang | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码-Digital System Design full adder, 10 hexadecimal counter ,2-4 decoder, Moore state machine ,2-1 MUX source code
Platform: | Size: 901120 | Author: 李帆 | Hits:

[VHDL-FPGA-Verilogvhdl_model

Description: VHDL实例,各个方面均有,基本语法,状态机,汉明码,寄存器,步进电机控制器,表决器,多路选择器,译码器-VHDL model,include: basic grammer,moore mealy state machine,register,counter,multi,decoder,et..
Platform: | Size: 50176 | Author: Rainer | Hits:

[assembly languagetraffic_control1

Description: (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous counter, asynchronous counter to use (2) to understand Moore and Mealy two state machine of the general programming method, according to engineering control requirements to design the corresponding logic and timing control procedures. To the development of the six small LED lights on the board simulation, three small lights to simulate a direction of the red, yellow and green traffic lights, using VHDL language programming to achieve red and green traffic light control program.
Platform: | Size: 602112 | Author: Cherry_RF | Hits:

[assembly languageFPGA-Traffic-Light-Controller

Description: (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous counter, asynchronous counter to use (2) to understand Moore and Mealy two state machine of the general programming method, according to engineering control requirements to design the corresponding logic and timing control procedures. To the development of the six small LED lights on the board simulation, three small lights to simulate a direction of the red, yellow and green traffic lights, using VHDL language programming to achieve red and green traffic light control program.
Platform: | Size: 64512 | Author: Cherry_RF | Hits:

[Otherkebenchengxu

Description: VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3 priority encoder, 8 choose 1, BCD-7 segment display decoder truth table, half adder, Moore state machine, digital frequency meter, digital clock, synchronous counter, sequence detector design. Sequence signal generator, general state machine etc..)
Platform: | Size: 40960 | Author: girl_lily | Hits:

CodeBus www.codebus.net